La conferenza iedm 2017: Intel pollici più vicino alla litografia EUV

0
108

Zero

Ci sono molti motivi per cui è sempre più difficile produrre all’avanguardia processori, ma nessun posto di blocco è più grande di fotolitografia, il processo di utilizzo di luce per il trasferimento di modelli di wafer. Gli strumenti attuali non sono più in grado di stampare le più piccole caratteristiche, almeno non senza un po ‘ di tempo e costosi passaggi aggiuntivi–e la sostituzione, noto come extreme ultra-violetto o la litografia EUV, è in ritardo di anni.

Ultimamente ci sono stati segni di progresso e il settore agonistico è di portare la litografia EUV in volumi di produzione. Samsung vuole essere il primo, e l’anno prossimo all’ISSCC annuncerà i risultati di un test SRAM chip prodotto sul suo 7nm processo utilizzando EUV. GlobalFoundries inizierà a 7nm produzione a volte nel 2019 senza EUV, ma i piani per la fretta di follow-up con le nuove versioni che utilizzano la tecnologia. Fonderia gigante TSMC regge fino a 5nm.

Intel ha inoltre testato EUV strumenti, riferito al suo D1X ricerca fab in Hillsboro, in Oregon, ma la società non può ancora dire quando viene utilizzata per la produzione in volumi. Alla conferenza iedm 2017 la scorsa settimana, Intel ha dato una rara aggiornamento sui progressi compiuti con EUV e forniti alcuni suggerimenti su come sarà distribuito.

“EUV permette di continuare a scala”, ha detto Intel Britt Turkot, senior principal engineer di litografia divisione. “È altamente auspicabile, ma sarà solo mettere in uso quando la tecnologia è pronta e conveniente.”

La dimensione delle caratteristiche che possono essere modellate con la litografia è determinato da due fattori: la lunghezza d’onda della luce e l’apertura numerica del sistema. Gli attuali strumenti di uso profonda ultra-violetto o DUV luce con una lunghezza d’onda di 193nm (nonché di immersione di aumentare l’apertura numerica in quanto l’acqua ha un indice di rifrazione maggiore di quello dell’aria). EUV, invece, utilizza la luce con una lunghezza d’onda di 13,5 nm consentendo al modello molto più piccole funzionalità anche con una minore apertura numerica.

A che lunghezza d’onda, i fotoni di luce assorbita da qualsiasi materiale, quindi deve operare in un vuoto utilizzando un complesso sistema di specchi. “EUV è molto complicato, perché tutto cambia in EUV,” Turkot detto.

Fino a poco tempo fa, l’esposizione di origine è stato il problema principale, perché deve fornire adeguata potenza. Una decina di anni fa, l’industria dovrebbe raggiungere i 250 watt necessari per la produzione in volumi entro la prima metà del 2013. Ma non è stato fino a questa estate a Semicon West che il produttore, società olandese ASML, ha annunciato di aver dimostrato 250 watt grazie ai recenti progressi nel Laser Prodotto al Plasma (LPP), la tecnologia. Tale livello non è ancora stata eguagliata in campo, anche se Turkot ha detto che lei è fiducioso che in un prossimo strumento di aggiornamento consegnare 250 watt.

L’esposizione di origine, tuttavia, continua a causare problemi con la complessiva operatività del sistema. L’industria obiettivo è di 84 per cento quest’anno e del 88 per cento nel 2018, ma “abbiamo la fortuna di essere al 75 per cento la disponibilità dell’utensile,” Turkot ha detto, a causa dei lunghi periodi di inattività non prevista.

L’ecosistema di strumenti e materiali e la litografia EUV è di cadere in luogo. Degli otto progetti chiave in EUV infrastrutture, sei sono in gran parte completata. I fornitori sono ora in produzione di tondelli (il materiale di base per photomasks) a una cifra difetti e Intel propria maschera di bellezza è in esecuzione un EUV maschera di pilota di linea che è stata in grado di produrre 10nm e 7nm maschere senza stampabile difetti.

Ma le maschere che difetti può finire con difetti dovuti a caduta-su particelle nello scanner portando a problemi di resa, che è il motivo per cui una pellicola protettiva–infrastruttura di progetto ancora in fase di sviluppo–alcune importanti. Infine, l’industria avrà bisogno di uno strumento in grado di vedere questi piccoli difetti, noto come Attinica Fantasia di Ispezione della Maschera, ma questo finale progetto “ha ancora delle grosse lacune.”

Una zona dove c’è poca domanda di EUV il valore di risoluzione. Intel EUV pilota di linea ha già raggiunto una buona patterning risultati per le dimensioni critiche, con un orientamento preciso. Ma Turkot detto che edge errore di posizionamento è diventata la sfida più grande per la funzionalità di scala al di sotto di 10 nm. “È necessario assicurarsi che le caratteristiche che deve toccare di non farlo e di quelle che non dovrebbero toccare non”, ha spiegato. EUV ha un grande potenziale per affrontare questo problema a causa della sua alta risoluzione per una maggiore modello di fedeltà e perché riduce al minimo multi-patterning passi, anche se altre fonti di bordo errore di posizionamento del fab bisogno di essere trattati.

Nonostante i progressi, Intel non è ancora pronto a dire esattamente quando si introdurrà la litografia EUV in volume di produzione. Quando lo fa, però, è in un mix e match accordo con l’attuale 193nm immersione strumenti. Turkot detto che, a causa EUV è così costoso, Intel prevede di utilizzare solo dove è in grado di sostituire tre o più multi-creazione di serie di passaggi con una singola esposizione. Ora sappiamo che a 10nm Intel ha fatto ricorso ad una quadrupla patterning per un paio di livelli, in modo EUV non può venire abbastanza presto.

Argomenti Correlati:

Hardware

Intel

BRACCIO

Intelligenza Artificiale

L’innovazione

0