Binnen GlobalFoundries lange weg naar de leading edge

0
111

Nul

globalfoundries1.jpg

Er zijn slechts een handvol bedrijven in de wereld die ontwerp processors met behulp van geavanceerde technologie. Nog minder zijn ingericht voor de vervaardiging van deze chips. Intel maakt zijn eigen, het taiwanese TSMC en GlobalFoundries zijn pure-play gieterijen die chips voor andere bedrijven, en Samsung is een beetje van beide. De concurrentie is intens, de fab miljarden kosten, en het duurt jaren om een nieuw proces en de oprit naar volume productie. Niet verrassend, deze chipmakers houden de zaken graag dicht bij de vest, dus bij GlobalFoundries verwelkomde onlangs een kleine groep journalisten naar haar fab in upstate New York, het was een zeldzame kans om een toonaangevende fab in actie.

Het feit dat een plant deze grote en geavanceerde al bestaat in de bossen van Malta, New York, een kleine stad net ten noorden van Albany, lijkt een beetje een wonder. Het is niet een gemakkelijke weg. Het bedrijf, opgericht als chipmaker AMD gesponnen-off van haar productie-operaties, brak de grond in 2009 op een 250 hectare groot terrein waar van de Algemene Elektrische wetenschappers getest raketten tijdens de Koude Oorlog. De staat bood $1,2 miljard in incentives en in ruil GlobalFoundries afgesproken om te investeren $3,2 miljard in een fab-dat zou in dienst 1.200 mensen met een payroll rond de $72 miljoen.

GlobalFoundries gepland om te starten met de productie op een 32nm proces in 2011, en uiteindelijk helling productie 60.000 wafers per maand met extra uitbreiding op de tekentafel. Maar de opbrengsten waren laag op zowel de 32nm en 28nm knooppunten–die nodig nieuwe materialen,–en de belangrijkste klant, AMD, het moeilijk om gelijke tred te houden met Intel. De 20nm knooppunt was een mislukking voor de gehele industrie omdat de zogenaamde vlakke transistor architectuur was van stoom (Intel had al verschoven naar 3D-transistors, bekend als FinFETs, te beginnen bij de 22nm). GlobalFoundries werd plotseling geconfronteerd met het ontwikkelen van een geheel nieuwe architectuur, terwijl tegelijkertijd aan de fab up-to-speed.

“Malta worstelde in eerste instantie omdat we bezig waren om de fabriek en de ontwikkeling van een nieuw proces op hetzelfde moment”, aldus Chief Technology Officer Gary Patton. “Dat is geen recept voor succes.”

In plaats daarvan, GlobalFoundries licentie Samsung 14nm FinFET-technologie om sneller op de markt. Op hetzelfde moment, AMD vernieuwde haar product line-up om concurrerender te worden, en vandaag GlobalFoundries wordt geproduceerd uit alle Ryzen processors, Epyc server chips en Radeon Vega grafische processors. Het produceert ook een van AMD ‘ s semi-custom-processors gebruikt in een populaire game console, evenals de IBM 14nm Power9 server en z14 mainframe chips. Het heeft bijna een decennium, maar de Malta fab heeft duidelijk geraakt gekomen. Vandaag, GlobalFoundries heeft $12 miljard “in de grond”, aldus senior vice president en General Manager Tom Caulfield (die was net benoemd tot CEO), en telt dan 3300 mensen met een payroll van ongeveer $345 miljoen.

Als een halfgeleider analist, ik weet een beetje over de omvang en de complexiteit van geavanceerde chip. Maar het zien van het in persoon is een ander ding. De Malta fab is enorm. Het eerste gebouw is sindsdien uitgebreid en de cleanroom, die is gelegen op de tweede verdieping, omvat nu 300.000 vierkante meter. Naast de deur, GlobalFoundries heeft gebouwd een tweede fab, verbonden door een “schone ” brug” tot een het maken van een aaneengesloten cleanroom met een totaal van 460.000 vierkante kilometer-het equivalent van acht voetbalvelden. De lucht in deze holle ruimte wordt gerecycled 10 tot 15 keer per uur, omdat de verontreiniging door zelfs de kleinste deeltjes kan vernietigen een wafer in het proces de moeite waard honderden duizenden dollars.

In de cleanroom bevat ruim 1400 tools gelegen aan de ‘RMF’ opgevoed modulaire vloer die kan de luchtstroom voor het verwijderen van microscopisch kleine deeltjes, controles statische ontlading en biedt toegang tot de subfab hieronder. Tools zijn gegroepeerd per functie vanaf de voorkant naar de achterkant met CMP (chemisch en mechanisch polijsten), depositie, verspreiding gloeien, etsen en ten slotte de lithografie. Meetinstrumenten gebruikt voor het meten van de chip heeft bij elke stap langs de weg worden besprenkeld in. Het RMF is gebouwd op de top van een wafel verdieping gemaakt van massief beton Lego-achtige blokken–elk een gewicht van 22 tot en met 24 ton-dat maak zelfs de kleinste trillingen en omringd door een dikke betonnen loopbrug die het mogelijk maakt werknemers te verplaatsen in de cleanroom en ondersteunt de zware gereedschappen of als deze zijn geïnstalleerd. Sommige stappen die niet gevoelig is voor trillingen, zoals de ion-implantatie zijn gelegen aan de kant.

De volgende grote verrassing is de mate van automatisering. De fab is het plafond is bedekt met 14 km van de track op, die tot 550 voertuigen dart rond het verwijderen van cartridges met wafeltjes van een tool en laden ze in de andere. De cartridges, bekend als FOUPs (Opening aan de Voorzijde Universele Pod), maximaal 25 wafers, elk van ongeveer een voet in diameter. Sommige FOUPs leveren ook de photomasks, of draadkruizen, gebruikt voor het projecteren van patronen op de hosties naar en van de lithografie tools–iets GlobalFoundries zegt dat er geen andere fab in de wereld van vandaag. De complexe choreografie wordt volledig beheerd door software, en de FOUPs zijn vaak opgeslagen in overhead staging areas–veeleer dan een gecentraliseerde ‘stockers’–, zodat ze kunnen worden geladen zodra de tool hieronder is gratis.

“Je bent scheppen zo veel kolen mogelijk in de motor draaiende te houden,” aldus Stephen Miller, een equipment engineer.

Dat wil niet zeggen dat er geen mensen in de cleanroom. Kleine groepen van werknemers worden voortdurend komen en gaan–witte bunny kostuums voor GlobalFoundries medewerkers en grijs is geschikt voor het identificeren van externe leveranciers–maar ze niet echt van het bedienen van de hulpmiddelen. In plaats daarvan zijn het installeren of onderhouden van tools, monitoring stappen van de verwerking of het oplossen van problemen.

globalfoundries4.jpg
Bron: GlobalFoundries

De meeste mensen denken dat alleen van de cleanroom, maar als John Schilder, Senior Director van Faciliteiten, zet het, de fab is een ijsberg en er gebeurt veel onder de oppervlakte te houden van al deze tools zoemen 24 uur per dag. Voor elke vierkante meter van cleanroom ruimte, er is nog een zes vierkante meter van de ruimte in subfab op de eerste verdieping en in de perifere Centrale utiliteitsgebouwen, of ‘Welpen’ te leveren van de fab met 80 megawatt aan vermogen, chemicaliën, gassen en ultra-zuiver water geleverd door km van bekabeling en leidingen.

Maar misschien wel het meest interessante deel van de tour was een kans om de volgende generatie van de lithografie ‘ s in een productie-omgeving. Lithografie wordt beschouwd als de meest kritische stap, omdat het bepaalt de minimale functies op een chip–het gegeven dat heeft volgehouden dat Moore ‘ s Wet voor 50 jaar. Vandaag GlobalFoundries, net als elke andere toonaangevende chipmaker, is gebaseerd op instrumenten die gebruik maken van Deep UV-licht met een golflengte van 193nm naar het patroon van de meest kritische lagen.

De invoering van onderdompeling lithografie-het vervangen van de luchtspleet tussen de lens en de wafer met water, die heeft een hogere brekingsindex–geholpen uit te breiden 193nm hulpmiddelen, maar ze hebben bereikt fundamentele grenzen. Naar het patroon van de kleinste lagen, chipmakers moeten nu gebruik maken van meerdere stappen, een complex proces dat bekend staat als multi-patronen die vermindert niet alleen de productiviteit, maar verhoogt ook de variabiliteit, die verlaagt het rendement.

De oplossing hiervoor is een nieuwe vorm van lithografie dat gebruik maakt van Extreem UV (EUV) licht met een golflengte van 13,5 nm. Hoe kleiner de golflengte, des te fijner de functies. Het klinkt eenvoudig, maar het blijkt te zijn ongelooflijk complex omdat EUV licht is moeilijk te produceren; volledig wordt geabsorbeerd door vrijwel elk materiaal, waaronder air, wat betekent dat het moet worden gebruikt in een vacuüm; en kan niet worden gericht met behulp van lenzen of conventionele spiegels. ASML het nederlandse bedrijf dat is ‘ s werelds enige leverancier van EUV-tools, bezig geweest sinds de late jaren 1990, en nu pas is het op de vooravond van de commerciële productie.

In Fase 2 op de achterkant van haar hoofd cleanroom, GlobalFoundries heeft geïnstalleerd, een EUV-tool, een tweede is in de maak, en er is ruimte voor twee extra tools. Elk is de grootte van een bus en kost ongeveer $130 miljoen. Om ze te krijgen in Fab 8, GlobalFoundries had om een gat in de zijkant van het gebouw en de installatie van een 10-tons kraan in het plafond te tillen in plaats–met behoud van de cleanroom omgeving met een luchtsluis.

Om de zaken nog moeilijker, EUV vereist een krachtige laser systeem in de subfab voor het genereren van extreme uv-straling. De 27-kilowatt CO2 laser vuurt twee sets van pulsen via een lichtbundel vervoer naar de cleanroom boven de plaats waar het wordt gestuurd naar een plasma schip en stakingen kleine druppeltjes van tin (ongeveer 20 micron in diameter). De pre-pulse vlakt de tin druppel en de belangrijkste impuls verdampt het maken van een laser-plasma geproduceerd (LPP), dat uitzendt EUV-fotonen. Deze worden verzameld door een speciale spiegel die stuurt de straling in de scanner waar het wordt weerkaatst een patroon masker op de silicium wafer. Het systeem is zo complex dat een aannemer, Total Facility Solutions (onderdeel van de M+W bouw groep bouwt fab ‘ s), heeft besteed maanden op de site met behulp van een 3D-laserscanner en BIM (Building Information Modeling) station voor het uitzetten van de exacte locatie van elke component.

Na jaren van valse starts, EUV is bijna klaar voor hoog-volume productie. “We zijn op het omslagpunt–wij zijn all-in,” Caulfield zei. “Ik ben zeer overtuigd dat EUV zal deel uitmaken van 7nm maar het is niet klaar vandaag.”

GlobalFoundries plannen om 7nm “risico productie” in het komend kwartaal (wat betekent dat het zal worden in de commerciële productie ergens in de eerste helft van 2019) zonder EUV, in plaats van te vertrouwen op 193nm onderdompeling vier patronen. Dit 7LP proces belooft 40 procent beter transistor prestaties (of 55% lager energieverbruik) en een 30 procent reductie van de kosten. Een high-performance versie zal een toeslag van 10 procent van de snelheid boost. Maar GlobalFoundries zegt dat dit zal worden gevolgd door versies van 7nm die gebruik maken van EUV voor contacten en vias, en uiteindelijk een metalen lagen, het verminderen van het aantal kritische lagen met 20 procent-het snijden van de cyclus van tijd en kosten en het reduceren van variabiliteit. TSMC is dezelfde strategie volgen, maar Samsung heeft er voor gekozen om te wachten tot EUV is klaar voor de lancering 7nm en Intel ‘ s plannen nog steeds niet duidelijk.

globalfoundries2.jpg

GlobalFoundries heeft een lange weg afgelegd over het afgelopen jaar, maar om te gaan teen-tot-teen met deze concurrenten, is het nodig te blijven maken van vooruitgang in twee gebieden.

Ten eerste moet de diversificatie van het klantenbestand. De overnames van Singapore ‘ s Gecharterd in 2010 en IBM Microelectronics in 2015 hebben geholpen. GlobalFoundries werkt nu vijf fab ‘s met een zesde in Chengdu, China onder constructie die gecombineerd kunnen produceren meer dan 800.000 wafers per maand (bij 200mm equivalenten) voor zo’ n 250 klanten. Maar het moet meer business dan AMD en IBM op de leading edge.

GlobalFoundries gezegd, heeft 82 ontwerpen op 14nm in de werken–en het heeft een FX-14 ASIC proces dat moet concurreren voor de groeiende business van AI versnellers. Hoewel het nog geen geluk gehad met high-end mobiele SoCs nog, GlobalFoundries heeft geduwd alternatief geproduceerd op een ander type wafer substraat, bekend als FD-SOI (Volledig Uitgeput Silicon-On-Insulator), dat is zeer geschikt voor lage kosten mobiele toepassingen, zoals de mid-range telefoons, communicatie of IoT apparaten.

Ten tweede, GlobalFoundries moet uitvoeren op de technologie roadmap. Het is net begonnen aan de productie van een 12nm noodoplossing voor AMD, maar de 7nm ramp zal de echte test want het is in eigen beheer ontwikkeld door een team van ongeveer 400 ingenieurs.

De huidige roadmap eindigt rond 2020, maar Patton zei dat het onderzoek pijplijn zit vol goede ideeën. GlobalFoundries heeft rond de 700 ‘technologen’ werken aan oplossingen voor de toekomst, zowel in een speciale ruimte op Fab 8 en door het Albany NanoTech consortium met IBM en Samsung, apparatuur en materialen, leveranciers en SUNY Polytechnic Institute. Opties voor de toekomst zijn nieuwe materialen, zoals de III-V-verbindingen, of gate–structuren, zoals verticale nanodraadjes of horizontale nanosheets. Vorig jaar maakte het consortium bekend dat het ontwikkeld had de eerste werken 5nm chips met EUV te fabriceren nanosheets dat u op een dag inschakelen processors met tot 30 miljard transistors

Deze zijn formidabele uitdagingen. Maar het zien van een geavanceerde fab close-up geeft u een waardering voor de uitdagingen die de sector al heeft overwonnen en meer vertrouwen dat het zal blijven om innovatieve manieren te vinden om dingen te maken die kleiner, sneller en efficiënter.

Verwante Onderwerpen:

Hardware

Intel

ARM

Kunstmatige Intelligentie

Innovatie

0